File tree Expand file tree Collapse file tree 1 file changed +5
-2
lines changed Expand file tree Collapse file tree 1 file changed +5
-2
lines changed Original file line number Diff line number Diff line change @@ -4,18 +4,21 @@ export LIBPYTHON_LOC=$(shell cocotb-config --libpython)
4
4
5
5
test_% :
6
6
make compile
7
- iverilog -o build/sim.vvp -s gpu -g2005 build/gpu.v
7
+ iverilog -o build/sim.vvp -s gpu -g2012 build/gpu.v
8
8
MODULE=test.test_$* vvp -M $$(cocotb-config --prefix ) /cocotb/libs -m libcocotbvpi_icarus build/sim.vvp
9
9
10
10
compile :
11
11
make compile_alu
12
12
sv2v -I src/* -w build/gpu.v
13
13
cat build/alu.v >> build/gpu.v
14
- sed -i ' ' ' 1s/^/`timescale 1ns\/1ns\n/' build/gpu.v
14
+ echo ' `timescale 1ns/1ns' > build/temp.v
15
+ cat build/gpu.v >> build/temp.v
16
+ mv build/temp.v build/gpu.v
15
17
16
18
compile_% :
17
19
sv2v -w build/$* .v src/$* .sv
18
20
19
21
# TODO: Get gtkwave visualizaiton
22
+
20
23
show_% : % .vcd % .gtkw
21
24
gtkwave $^
You can’t perform that action at this time.
0 commit comments