Skip to content

Commit 84467fa

Browse files
committed
Update Makefile
1 parent de7cf22 commit 84467fa

File tree

1 file changed

+5
-2
lines changed

1 file changed

+5
-2
lines changed

Makefile

Lines changed: 5 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -4,18 +4,21 @@ export LIBPYTHON_LOC=$(shell cocotb-config --libpython)
44

55
test_%:
66
make compile
7-
iverilog -o build/sim.vvp -s gpu -g2005 build/gpu.v
7+
iverilog -o build/sim.vvp -s gpu -g2012 build/gpu.v
88
MODULE=test.test_$* vvp -M $$(cocotb-config --prefix)/cocotb/libs -m libcocotbvpi_icarus build/sim.vvp
99

1010
compile:
1111
make compile_alu
1212
sv2v -I src/* -w build/gpu.v
1313
cat build/alu.v >> build/gpu.v
14-
sed -i '' '1s/^/`timescale 1ns\/1ns\n/' build/gpu.v
14+
echo '`timescale 1ns/1ns' > build/temp.v
15+
cat build/gpu.v >> build/temp.v
16+
mv build/temp.v build/gpu.v
1517

1618
compile_%:
1719
sv2v -w build/$*.v src/$*.sv
1820

1921
# TODO: Get gtkwave visualizaiton
22+
2023
show_%: %.vcd %.gtkw
2124
gtkwave $^

0 commit comments

Comments
 (0)