Skip to content

Commit d274c73

Browse files
committed
Add default_nettype none and resetall directives
1 parent 302a232 commit d274c73

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

53 files changed

+212
-0
lines changed

rtl/arbiter.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* Arbiter module
@@ -153,3 +155,5 @@ always @(posedge clk) begin
153155
end
154156

155157
endmodule
158+
159+
`resetall

rtl/axi_adapter.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 width adapter
@@ -318,3 +320,5 @@ axi_adapter_rd_inst (
318320
);
319321

320322
endmodule
323+
324+
`resetall

rtl/axi_adapter_rd.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 width adapter
@@ -690,3 +692,5 @@ always @(posedge clk) begin
690692
end
691693

692694
endmodule
695+
696+
`resetall

rtl/axi_adapter_wr.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 width adapter
@@ -781,3 +783,5 @@ always @(posedge clk) begin
781783
end
782784

783785
endmodule
786+
787+
`resetall

rtl/axi_axil_adapter.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 to AXI4-Lite adapter
@@ -217,3 +219,5 @@ axi_axil_adapter_rd_inst (
217219
);
218220

219221
endmodule
222+
223+
`resetall

rtl/axi_axil_adapter_rd.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 to AXI4-Lite adapter (read)
@@ -504,3 +506,5 @@ always @(posedge clk) begin
504506
end
505507

506508
endmodule
509+
510+
`resetall

rtl/axi_axil_adapter_wr.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 to AXI4-Lite adapter (write)
@@ -558,3 +560,5 @@ always @(posedge clk) begin
558560
end
559561

560562
endmodule
563+
564+
`resetall

rtl/axi_cdma.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 Central DMA
@@ -792,3 +794,5 @@ always @(posedge clk) begin
792794
end
793795

794796
endmodule
797+
798+
`resetall

rtl/axi_cdma_desc_mux.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI CDMA descriptor mux
@@ -259,3 +261,5 @@ always @(posedge clk) begin
259261
end
260262

261263
endmodule
264+
265+
`resetall

rtl/axi_crossbar.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4 crossbar
@@ -385,3 +387,5 @@ axi_crossbar_rd_inst (
385387
);
386388

387389
endmodule
390+
391+
`resetall

0 commit comments

Comments
 (0)